Grupo Trabajo1

12
UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA ESCUELA DE CIENCIAS BÁSICAS TECNOLOGÍA E INGENIERÍA PROGRAMA DE INGENIERÍA Y TECNOLOGÍA EN ELECTRÓNICA CURSO SISTEMAS DIGITALES SECUENCIALES 90178_43 PRESENTADO POR: TUTOR VIRTUAL CARLOS EMEL RUIZ [email protected] ACTIVIDAD 6 TRABAJO COLABORATIVO 1 Cartagena 21 abril 2013

Transcript of Grupo Trabajo1

Page 1: Grupo Trabajo1

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA

ESCUELA DE CIENCIAS BÁSICAS TECNOLOGÍA E INGENIERÍA

PROGRAMA DE INGENIERÍA Y TECNOLOGÍA EN ELECTRÓNICA

CURSO

SISTEMAS DIGITALES SECUENCIALES

90178_43

PRESENTADO POR:

TUTOR VIRTUAL

CARLOS EMEL RUIZ

[email protected]

ACTIVIDAD 6

TRABAJO COLABORATIVO 1

Cartagena 21 abril 2013

Page 2: Grupo Trabajo1

INTRODUCION

El presente trabajo colaborativo es un aporte donde aplicamos la primera unidad del curso

de Sistemas Secuenciales, aprovechando los conocimientos adquiridos en el.

este proyecto ha sido diseñado según las pautas estipuladas por la guía de trabajo, su diseño

un pequeño Robot que sea autónomo y pueda cambiar su dirección al encontrar un

obstáculo y seguir su marcha utilizando censores o detectores de los obstáculos

manipulando para esto dos microswiches ubicados en la parte frontal los cuales deben

enviar una señal de 0 o 1, con todos estos datos de entrada debemos hacer el diseño del

robot, el tiempo de reversa del carro debe ser de 5 segundos para lo cual debemos utiliza un

circuito reloj o 555 para calcular el tiempo. Entre las especificaciones dadas en el trabajo

debemos tener en cuenta principalmente un diagrama de bloque y un diagrama de flujo con

el que se tendrá una idea clara de los pasos a seguir.

A continuación se aplican las pautas para el trabajo, esperando que este robot cumpla con

las especificaciones requeridas y exponiendo el conocimiento adquirido durante todo el

semestre.

El presente proyecto ha sido diseñado según las pautas estipuladas por la guía de Trabajo,

se ha usado circuitería combinacional y los circuitos secuenciales estudiados en la primera

unidad. Debemos diseñar un pequeño Robot que sea autónomo y pueda cambiar su

dirección al encontrar un obstáculo y seguir su marcha.

Los sensores o detectores de los obstáculos serán dos microswiches ubicados en la parte

frontal quienes enviaran una señal de 0 o 1, con estos datos de entrada debemos hacer el

diseño, el tiempo de reversa del carro es de 2 segundos para lo cual debemos utiliza un

circuito reloj o 555 para calcular el tiempo.

Entre las especificaciones debemos empezar por un diagrama de bloque y uno de flujo y así

ir teniendo una idea clara de lo que debemos hacer.

Page 3: Grupo Trabajo1

OBJETIVOS

Elaborar un carro robot detector de obstáculos con circuitería combi nacional y

circuitos secuenciales.

Diseñar el circuito propuesto en un programa electrónico para simularlo.

Aplicar y diferenciar los conceptos de los diferentes tipos de flip-flops.

Utilizar los mapas de karnaugh para simplificar las funciones lógicas.

Comprender el funcionamiento del circuito en general, también la utilización de los

elementos y herramientas

Page 4: Grupo Trabajo1

DESARROLLO

1. DESCRIBIR LA NECESIDAD O PROBLEMA A RESOLVER

Debemos diseñar y simular un pequeño carro que al detectar obstáculos en su Trayectoria

sea capaz de cambiar su rumbo de manera autónoma; el vehículo consta de dos motores DC

que pueden funcionar entre 5 y 12 v dc. Uno en cada rueda trasera. El carro contará con dos

sensores en la parte frontal que servirán para detectar el impacto del carro con un obstáculo.

El diseño debe ser tal que el vehículo inicie su marcha normal; una vez éste impacte debe

recordar que el choque activa uno de los sensores con el fin que el vehículo retroceda

girando en un sentido diferente. No importa cuál de los dos sensores se active o haga el

impacto siempre debe cambiar de giro, no importa que impacte dos veces con el mismo

microswitche. Una vez que uno de los sensores haya detectado el impacto del carro, éste

deberá retroceder por un tiempo de cinco (5) segundos y reiniciar su marcha hacia adelante,

este tiempo debe ser controlado usando un temporizador 555 en modo monoestable.

2. ELABORAR UNA LISTA DE MATERIALES

LISTADO DE COMPONENTES

5 RESISTENCIA DE 10K 1K 330OHM

2 PULSADORES

1 TEMPORIZADORES NE555

1 CAPACITARORES DE 10 MICROFARADIOS V

1 POTENCIOMETROS DE 100 K

2 CAPACITORES DE 50 MICRO FARADIOS 20 V

1 FLIP-FLOP JK 74LS73

2 COMPUERTA 7404 (NOT)

1 COMPUERTAS 74LS28

1 COMPUERTA 74LS08

1 PUENTE H (L298)

2 MOTORES DC

2 LED

1 PROTOBOAR

Page 5: Grupo Trabajo1

3. DIAGRAMA DE BLOQUES

4. DEFINIR LAS VARIABLES LÓGICAS DE ENTRADA Y SALIDA DEL

SISTEMA

S1 sensor 1 (microswich normalmente abierto)

S2 sensor 2 (microswich normalmente abierto)

M1 motor 1 activo

M2 motor 2 activo

M1’ motor 1 en reversa

M2’ motor 2 en reversa

DETECCION

DE

IMPACTO

TEMPORIZACION

555 MONOESTABLE

ETAPA

DE

MEMORIA

LOGICA

COMBINACIONAL

SERVO

MOTORES

COMTROL

PUENTE H

Page 6: Grupo Trabajo1

5. REALIZAR EL PROGRAMA EN LA HERRAMIENTA DE VHDL

Libraty ieee;

Entity robot i sport (s1, s2: in std_logic;

Q1,Q2,: out std_logic; //DECLARACION DE VARIABLES

T1: out std_int);

end entity;

architecture archrobot of robot is begin //PROCESO DE RUTINA

p:process(s1, s2,Q1,Q2 : T1)

begin

If s1=’1’& s2=’1’ then Q1=’1’& Q2=’1’& Q’1=’0’, Q’2=’0’

else if s1=’0’& s2=’1’ then Q1=’0’& Q2=’1’& Q’1=’1’, Q’2=’0’

else if s1=’1’& s2=’0’ then Q1=’1’& Q2=’0’& Q’1=’0’, Q’2=’1’

end if;

end process;

end;

Page 7: Grupo Trabajo1

Para la verificación del sistema en cuanto a sus variables, debe realizar muy bien El diseño

en el simulador de VHDL, partiendo del diagrama de flujo. Hay muchos Programas para

realizar este procedimiento

Inicio

Active M1 y M2

Lea S1, S2

S1 or S2

=1?

M1 y M2 Off Clck toogle = 1

Es Toogle =

Q

M 1 or M2 off

T555 On M 1 or M2 On

T 555 Off?

Page 8: Grupo Trabajo1

6. REALIZAR UNA TABLA DE VERDAD QUE MUESTRE LA RELACIÓN

ENTRE LAS VARIABLES DE ENTRADA Y SALIDA DEL SISTEMA, SI ES

POSIBLE SIMPLIFICAR LA FUNCIÓN USANDO MAPAS DE KARNAUGH.

SENSORES MOTOR ACTIVO MOTOR REVERSA

S1= X S2= Y M1=X,Y M2=X,Y M1' M2'

0 0 1 1 0 0

1 0 1 0 1 0

0 1 0 1 0 1

1 1 1 1 1 1

0 0 1 1 0 0

1 0 0 1 0 1

0 1 1 0 1 0

1 1 0 1 0 1

MAPAS DE KARNAUGH Motor 1

X`Y`+XY`+XY = Y`X

Y` Y

X` 1

X 1 1 MAPAS DE KARNAUGH

Motor 2

X`Y`+X`Y+XY = YX`

Y` Y

X` 1 1

X 1

S1=X S2 = Y M1=X,Y

0 0 1

1 0 1

0 1 0

1 1 1

S1=X S2 = Y M1=X,Y

0 0 1

1 0 0

0 1 1

1 1 1

Page 9: Grupo Trabajo1

7. REALIZAR LA RESPECTIVA SIMULACIÓN DEL SISTEMA UTILIZANDO

PROTEUS, MULTISIM, ETC

8. REALIZAR EL MONTAJE DEL CIRCUITO EN UN PROTOBOARD O EN

BAQUELA PARA VERIFICAR SU FUNCIONAMIENTO

Page 10: Grupo Trabajo1

9. DESCRIBIR EL FUNCIONAMIENTO DEL SISTEMA POR SUS PROPIAS

PALABRAS

Cuando el carro robot esta en funcionamiento normal, s1 y s2=0, los motores M1 y m2 =1,

lo cual quiere decir que el carro robot esta avanzando hacia delante. Cuando s1=1 y s2=0,

m1 =1 y m2 =0 el motor M1, este girara en reversa cambiando su trayectoria durante 5

segundos, lo cual hará que el carro robot cambie de dirección, pasados los 5 segundos en

los que el carro robot da reversa, los motores cambian al estado m1 y m2 =1en sentido de

avance esto hace que siga avanzando hacia adelante, y los sensores estarán en el estado

s1=s2=0. Cuando s1=0 y s2 =1 ya no será el motor m1 quien dará la reversa sino el motor

m2 quien cumplirá la función de dar reversa y hacer el cambio de giro en sentido contrario

10. REALIZAR EL VIDEO DE LA SIMULACIÓN Y DEL CIRCUITO FÍSICO

PARA ENVIAR AL TUTOR COMO EVIDENCIAS.

http://youtu.be/nvt8dOrAeP0 video simulación

http://youtu.be/U1AlU9Kig5o video proyecto en físico

11. TENER PRESENTE QUE EL PERÍODO DE OSCILACIÓN DEL C555 DEBE

SER DE 5 SEGUNDOS

El cálculo del retardo se describe a continuación:

T = 1.1 x R1 x C1 (en segundos).

El tiempo requerido = La resistencia R1 x la capacitancia C1 x 1.1

En nuestro caso el tiempo es 5 seg.

Le asignamos un valor de 50µF al capacitor.

resistencia R1 un maximo de 100 K

FASE 3: VERIFICACIÓN.

Una vez concluida la fase dos, el estudiante debe tener presente el siguiente proceso para

verificar el funcionamiento del circuito.

Para la verificación del sistema en cuanto a sus variables, debe realizar muy bien El diseño

en el simulador de VHDL, partiendo del diagrama de flujo. Hay muchos Programas para

realizar este procedimiento Simulación del circuito con los componentes electrónicos del

sistema en Proteus, Multisim, etc., verificando los tiempos, sensores y motores con su

cambio de giro y Dirección del vehículo.

Las simulaciones las puede realizar como opción en el CEAD más cercano, como Apoyo

para aclarar dudas y mejorar el sistema.

Cuando realice las simulaciones es necesario que realice los videos de las dos, Una de la

simulación del circuito en su PC (usar la herramienta CamStudio) y otra Cuando el

vehículo esté funcionando una vez realice el montaje físico (video de 40s). Recuerde que

los dos videos los deben subir a una página gestora de videos

(YouTube) y copiar el link en el trabajo final.

Page 11: Grupo Trabajo1

CONCLUSIONES

Durante el desarrollo del presente proyecto hemos puesto en práctica lo aprendido en el

módulo y lo consultado en internet, hemos abarcado el funcionamiento de los diferentes

tipos de flip flops y su respectiva utilización.

La electrónica y muy puntualmente los sistemas digitales nos ofrecen la solución de

problemas de diferentes formas, lo complejo o fácil de la solución generalmente se basa en

la capacidad que tengamos y nuestros conocimientos adquiridos.

Para la inversión del sentido de giro de los motores podemos encontrar varias opciones

entre ellas esta hacerlo a través de relevos, de transistores armando un puente H y la elegida

que fue el integrado L293D que sirve para controlar dos motores, básicamente son dos

puente H en un solo chip.

También fue muy importante la utilización de un software como Proteus del cual hemos

aprendido mucho, este proyecto puso en práctica el auto aprendizaje como grupo

colaborativo lo cual es muy importante en nuestro proceso de formación

Page 12: Grupo Trabajo1

REFERENCIAS

Geoffrey Acevedo González (Medellín 2008) modulo sistemas digitales secuenciales

actualización (26 de febrero de 2008)

CamStudio Disponible en URL: http://camstudio.org/ (Consulta 09 de marzo 2013)