Diseño

4
Introducción a los Sistemas Digitales Universidad Nacional de La Matanza TRABAJO PRÁCTICO Nro. 5 Diseño con MSI Confecciono Ramos Fernando 1 Año: 2014 1) a) Usando como base dos codificadores genéricos de 8 líneas a 3 y las compuertas reales necesarias, construir un codificador de 16 líneas a 4. b) Realizar la misma expansión con dos 74LS148. Las salidas deben tener como estado activo el tipo alto. Indicar cual es la tensión de alimentación y el consumo del circuito completo. 2) Obtener un circuito que al ingresarle un número en binario de 4 bits detecte cuando el mismo es mayor o igual que 6 mediante los siguientes bloques: a) Un multiplexor de 8 líneas a 1. b) Un sumador de 4 bits. c) Un comparador de magnitudes de 4 bits. 3) a) Usando dos decodificadores 74LS138 de 3 líneas a 8, construir uno de 4 a 16, con 3 entradas de habilitación. b) Usando como base el dispositivo desarrollado en el punto anterior y escasa lógica adicional implementar la siguiente función: 15 , 14 , 13 , 11 , 9 , 5 , 4 , 3 , 1 4 Z c) Usado como base el mismo dispositivo, implementar el circuito del punto 2 del TP3. (detector de código exceso 3) 4) a) Usando como base dos multiplexores 74LS151 de 8 vías, construir uno de 16 vías. b) Dar la descripción del multiplexor de 16 vías. c) ¿Como expandiría a 32 vías? Y si no tuviera un deco? 5) Usando como base un multiplexor de 8 vías 74251, implementar la función: _ _ _ _ _ Z= (DB) + (DCB) + (DBA) + (CBA) 6) Usando como base sumadores binarios de 4 bits, diseñar un sumador-restador de 1 byte apto para operar tanto entre magnitudes como entre números enteros según convenio de complemento a 2, e incluirle además de los 1 byte del resultado, los siguientes indicadores: a) C/B: indicará si hubo acarreo en suma o en préstamo en la resta (es decir, si el resultado es inválido en el caso de que los operandos hayan sido magnitudes). b) N: indicará si el resultado es negativo (suponiendo que los operandos hayan sido números enteros). c) Z: indicará si el resultado fue cero. d) V: indicará si hubo desborde (es decir, si el resultado es inválido en el caso de que los operandos hayan sido números enteros. 7). Usando los elementos que se indican en cada caso, diseñar: a) Con un sumador MC14008: un conversor de BCD Natural a Exceso 3. b) Con un sumador MC14008: un conversor de Exceso 3 a BCD Natural. c) Con un sumador MC14008: un conversor de Aiken a BCD Natural. d) Con dos sumadores MC14008: un conversor de BCD Natural a Aiken. En cada caso utilizar componentes reales.

description

diseño

Transcript of Diseño

Page 1: Diseño

Introducción a los Sistemas Digitales Universidad

Nacional de La Matanza

TRABAJO PRÁCTICO Nro. 5 Diseño con MSI

Confecciono Ramos Fernando

1

Año: 2014

1) a) Usando como base dos codificadores genéricos de 8 líneas a 3 y las compuertas reales necesarias, construir un codificador de 16 líneas a 4. b) Realizar la misma expansión con dos 74LS148. Las salidas deben tener como estado activo el tipo alto. Indicar cual es la tensión de alimentación y el consumo del circuito completo.

2) Obtener un circuito que al ingresarle un número en binario de 4 bits detecte cuando el mismo es

mayor o igual que 6 mediante los siguientes bloques:

a) Un multiplexor de 8 líneas a 1. b) Un sumador de 4 bits. c) Un comparador de magnitudes de 4 bits.

3)

a) Usando dos decodificadores 74LS138 de 3 líneas a 8, construir uno de 4 a 16, con 3 entradas de habilitación.

b) Usando como base el dispositivo desarrollado en el punto anterior y escasa lógica adicional implementar la siguiente función:

15,14,13,11,9,5,4,3,14Z

c) Usado como base el mismo dispositivo, implementar el circuito del punto 2 del TP3. (detector de código exceso 3)

4)

a) Usando como base dos multiplexores 74LS151 de 8 vías, construir uno de 16 vías. b) Dar la descripción del multiplexor de 16 vías. c) ¿Como expandiría a 32 vías? Y si no tuviera un deco?

5) Usando como base un multiplexor de 8 vías 74251, implementar la función:

_ _ _ _ _ Z= (DB) + (DCB) + (DBA) + (CBA)

6) Usando como base sumadores binarios de 4 bits, diseñar un sumador-restador de 1 byte apto para

operar tanto entre magnitudes como entre números enteros según convenio de complemento a 2, e incluirle además de los 1 byte del resultado, los siguientes indicadores:

a) C/B: indicará si hubo acarreo en suma o en préstamo en la resta (es decir, si el resultado es inválido en el caso de que los operandos hayan sido magnitudes).

b) N: indicará si el resultado es negativo (suponiendo que los operandos hayan sido números enteros).

c) Z: indicará si el resultado fue cero. d) V: indicará si hubo desborde (es decir, si el resultado es inválido en el caso de que los

operandos hayan sido números enteros.

7). Usando los elementos que se indican en cada caso, diseñar: a) Con un sumador MC14008: un conversor de BCD Natural a Exceso 3. b) Con un sumador MC14008: un conversor de Exceso 3 a BCD Natural. c) Con un sumador MC14008: un conversor de Aiken a BCD Natural. d) Con dos sumadores MC14008: un conversor de BCD Natural a Aiken.

En cada caso utilizar componentes reales.

Page 2: Diseño

Introducción a los Sistemas Digitales Universidad

Nacional de La Matanza

TRABAJO PRÁCTICO Nro. 5 Diseño con MSI

Confecciono Ramos Fernando

2

Año: 2014

8) Para un sistema de llenado de producto químico, se tiene un tanque con el producto y debe controlarse su nivel. Para esto se colocan 4 sensores capacitivos de manera de medir BAJO, MEDIO BAJO, MEDIO ALTO y ALTO.

Diseñar un circuito con dos salidas que detecten: - Una salida: Si el sistema está por debajo del nivel medio Bajo, o por encima del medio alto - Otra salida: Si el sistema detecta una combinación Irreal.

9) El control lógico de una máquina expendedora de boletos recibe por un lado, codificado en binario, el

dinero introducido y por otro recibe de 4 interruptores el viaje solicitado: Corto – normal – largo – a terminal. Si cada viaje cuesta 2; 5; 10 y 20 pesos respectivamente. Se pide implementar un circuito que mediante leds muestra si se entrega el boleto LB = 1 o si el usuario debe agregar mas dinero LD = 1.

10) Se dispone de una memoria ROM de 256x8, diseñar con ayuda de un circuito de MSI un arreglo para que la memoria trabaje como una memoria ROM de 512x4.

11) Considerando que dispone de varias memorias ROM de 1024x8, con 2 entradas de selección una normal y otra negada. Diseñar:

a) un banco de memoria de 4Kx8 b) un banco de memoria de 4Kx16

12) Implementar utilizando una ROM un circuito cuyo funcionamiento sea tal que, al introducirle 3

dígitos binarios, se obtenga en un display de cátodo común a las salidas expresadas en la siguiente tabla.

C B A Salida

0 0 0 0

0 0 1 A

0 1 0 b

0 1 1 C

1 0 0 d

1 0 1 E

1 1 0 F

1 1 1 g

Page 3: Diseño

Introducción a los Sistemas Digitales Universidad

Nacional de La Matanza

TRABAJO PRÁCTICO Nro. 5 Diseño con MSI

Confecciono Ramos Fernando

3

Año: 2014

13) Cada cuadrado negro de la figura es un pulsador del usuario. En la salida del teclado se activará cada una de las filas y columnas según que pulsador se haya activado. En primer lugar y teniendo en cuenta que un solo pulsador se puede activar a la vez o ninguno, se desea diseñar un circuito que genere las señales CBA y FED y en base a estas que excite una de las 9 salidas S 8-0 . Seguidamente se debe repetir el diseño pero considerando que se puede activar más de un pulsador a la vez, presentándose a la salida la combinación del de mayor peso.

14) Diseñar el circuito de un dispositivo lógico al cual ingresan por la entrada un número binario de 4 bits y

las salidas deben mostrar en un display de 2 dígitos el número que ingresa por la entrada. El circuito se debe diseñar utilizando integrados MS14511 y 2 display de cátodo común, la tensión de VDD debe ser de 12V, calcule el consumo del dispositivo.

4

7 Binario

7

BMS

bms

Circuito Lógico

Page 4: Diseño

Introducción a los Sistemas Digitales Universidad

Nacional de La Matanza

TRABAJO PRÁCTICO Nro. 5 Diseño con MSI

Confecciono Ramos Fernando

4

Año: 2014

OPTATIVOS 1). Obtener un circuito que al ingresarle un número en binario de 4 bits detecte cuando el mismo es mayor o

igual que 5 mediante los siguientes bloques:

d) Un multiplexor de 8 líneas a 1. e) Un sumador de 4 bits. f) Un comparador de magnitudes de 4 bits.

2).- La regla para sumar dos números decimales codificados en BCD Exceso 3 es la siguiente:

I. Sumar en binario cada uno de los dígitos codificados. Esta suma se hará secuencial y ordenadamente, efectuando primero la de los dígitos menos significativos. En cada suma se incorporará el eventual carry que se produjera en la suma del dígito anterior, y se emitirá un carry para la suma del dígito siguiente cada vez que dicha suma binaria lo produzca. (Es decir, que el carry no necesita corrección).

II. Deben corregirse las sumas de todos los dígitos sin excepción: restándole 3 si no hubo carry de esa suma, y sumándole 3 si lo hubo (descartando el carry que se produzca al sumar 3.

Se pide:

i. Comprobar las reglas con el siguiente ejemplo, dado sin codificar aún: 3842 + 4664 = 8506.

ii. Diseñar un sumador decimal de un dígito para el código BCD Exceso 3 que opere según esta regla.

iii. Utilizar e resultado del punto anterior para diseñar un sumador BCD Exceso 3 de tres dígitos.

iv. Utilizar el resultado del punto anterior para diseñar un sumador –restador BCD Exceso 3 de tres dígitos.

3) b) Usando como base comparadores de magnitudes de 4 bits 7485, construir un comparador de

magnitudes de 8 bits. c) Indicar si ese comparador de magnitudes puede también ser utilizado en forma directa para

comparar números signados representados en binario desplazado. Si no es así, mostrar que lógica exterior adicional permitirá usarlo con este propósito.

d) Indicar si ese comparador de magnitudes puede ser también utilizado en forma directa para comparar números signados representados en convenio del complemento a 2. Si no es así, mostrar que lógica exterior adicional permitiría usarlo para ese propósito.

4) Un fabricante de circuitos integrados desea comercializar los integrados que se describen más abajo.

Indicar, para cada uno de ellos, el circuito interno y si la cantidad de terminales permitirá encapsularlo en una pastilla de 14 o 16 patitas.

a) Cuádruple multiplexor de 2 vías con entrada de selección común a los 4. b) Doble demultiplexor de 4 vías con entradas de selección común a ambos. c) Sumador binario de 4 bits con acarreo anticipado, en la que la anticipación del acarreo se haga

tanto para el acarreo de salida como en todos los acarreos interetapas internos al integrado. 5).- Diseñar utilizando, un sumador binario de 4 bits:

a) Un circuito que divida por 2 un número binario de 1 byte (magnitudes). El mismo circuito debe diseñarse para que entregue el resto del cociente.

b) Modificar el circuito para que el mismo pueda manejar números signados en Ca2.