8-PSK Modulación Por Desplazamiento de Fase de 8

download 8-PSK Modulación Por Desplazamiento de Fase de 8

of 16

description

PDF 8-PSK

Transcript of 8-PSK Modulación Por Desplazamiento de Fase de 8

  • 8-PSK Modulacin por Desplazamiento de Fase de 8 Niveles

  • Introduccin

    Canal I Canal Q

    Los bits I y Q Determinan la polaridad de la seal analgica de Salida (1L = +V y 0L = -V)

    Canal C: Canal de Control, este bit C determina la magnitud 1L = 1.307V y 0L = 0.541V

    Es una modulacin M-ario.

    Cuenta con 8 niveles; Sistema Tribit; 8 fases.

    La seal Moduladora ingresa a un divisor de frecuencia y se divide en tres canales.

  • Modulador 8-PSK

    Q I C

    Convertidor de 2 a 4 Niveles

    Datos de Entrada fb

    Convertidor de 2 a 4 Niveles

    Modulador de

    Producto

    Modulador de

    Producto

    Oscilador de

    Referencia

    +90

    Filtro Pasabanda

    Filtro Pasabanda

    Sumador Lineal

    Filtro Pasabanda

    Salida 8-PSK

    Canal I

    Canal Q

    fb/3

    fb/3

    fb/3

    C

    C

    Sen ct

    Cos ct

    PAM

    PAM

  • Tabla de VerdadI C Salida

    0 0 - 0.541V

    0 1 - 1.307V

    1 0 + 0.541V

    1 1 + 1.307V

    Q C Salida

    0 1 - 1.307V

    0 0 - 0.541V

    1 1 + 1.307V

    1 0 + 0.541V

    +1.307V

    -1.307V

    -0.541V

    +0.541V0V

  • Fases de 8-PSKPara un sistema tribit de entrada con Q=0, I=0 y C=0, determinar la fase de salida para el modulador 8-PSK.

    I C Salida

    0 0 - 0.541V

    Q C Salida

    0 1 - 1.307V

    Canal I = -0.541 Sen ct Canal Q = -1.307 Cos ct

  • Fases de 8-PSK

    Para los tribits restantes de cdigo, 001, 010, 011, 100, 101, 110 y 111, el procedimiento es igual, determinar las fases en dichos cdigos

    El ngulo es negativo, debido a que se encuentra en el tercer Cuadrante, y va de 0 a -180

  • Q=0, I=0 y C=1Para un sistema tribit de entrada con Q=0, I=0 y C=1, determinar la fase de salida para el modulador 8-PSK.

    I C Salida

    0 1 - 1.307V

    Q C Salida

    0 0 - 0.541V

    Canal I = -1.307 Sen ct Canal Q = -0.541 Cos ct

    Al revisar los canales I y Q, se concluye que el mdulo se conserva.Por lo que se debe hallar la fase.

    El ngulo es negativo, debido a que se encuentra en el tercer Cuadrante, y va de 0 a -180

  • Q=0, I=1 y C=0Para un sistema tribit de entrada con Q=0, I=1 y C=0, determinar la fase de salida para el modulador 8-PSK.

    I C Salida

    1 0 + 0.541V

    Q C Salida

    0 1 - 1.307V

    Canal I = +0.541 Sen ct Canal Q = -1.307 Cos ct

    Al revisar los canales I y Q, se concluye que el mdulo se conserva.Por lo que se debe hallar la fase.

    El ngulo es negativo, debido a que se encuentra en el cuarto Cuadrante, y va de 0 a -180

  • Q=0, I=1 y C=1Para un sistema tribit de entrada con Q=0, I=1 y C=1, determinar la fase de salida para el modulador 8-PSK.

    I C Salida

    1 1 + 1.307V

    Q C Salida

    0 0 - 0.541V

    Canal I = +1.307 Sen ct Canal Q = -0.541 Cos ct

    Al revisar los canales I y Q, se concluye que el mdulo se conserva.Por lo que se debe hallar la fase.

    El ngulo es negativo, debido a que se encuentra en el cuarto Cuadrante, y va de 0 a -180

  • Q=1, I=0 y C=0Para un sistema tribit de entrada con Q=1, I=0 y C=0, determinar la fase de salida para el modulador 8-PSK.

    I C Salida

    0 0 - 0.541V

    Q C Salida

    1 1 + 1.307V

    Canal I = -0.541Sen ct Canal Q = +1.307 Cos ct

    Al revisar los canales I y Q, se concluye que el mdulo se conserva.Por lo que se debe hallar la fase.

    El ngulo es positivo, debido a que se encuentra en el segundo Cuadrante, y va de 0 a +180

  • Q=1, I=0 y C=1Para un sistema tribit de entrada con Q=1, I=0 y C=1, determinar la fase de salida para el modulador 8-PSK.

    I C Salida

    0 1 - 1.307V

    Q C Salida

    1 0 + 0.541V

    Canal I = -1.307 Sen ct Canal Q = +0.541 Cos ct

    Al revisar los canales I y Q, se concluye que el mdulo se conserva.Por lo que se debe hallar la fase.

    El ngulo es positivo, debido a que se encuentra en el segundo Cuadrante, y va de 0 a +180

  • Q=1, I=1 y C=0Para un sistema tribit de entrada con Q=1, I=1 y C=0, determinar la fase de salida para el modulador 8-PSK.

    I C Salida

    1 0 + 0.541V

    Q C Salida

    1 1 + 1.307V

    Canal I = +0.541 Sen ct Canal Q = +1.307 Cos ct

    Al revisar los canales I y Q, se concluye que el mdulo se conserva.Por lo que se debe hallar la fase.

    El ngulo es positivo, debido a que se encuentra en el primer Cuadrante, y va de 0 a +180

  • Q=1, I=1 y C=1Para un sistema tribit de entrada con Q=1, I=1 y C=1, determinar la fase de salida para el modulador 8-PSK.

    I C Salida

    1 1 + 1.307V

    Q C Salida

    1 0 + 0.541V

    Canal I = +1.307 Sen ct Canal Q = +0.541 Cos ct

    Al revisar los canales I y Q, se concluye que el mdulo se conserva.Por lo que se debe hallar la fase.

    El ngulo es positivo, debido a que se encuentra en el primer Cuadrante, y va de 0 a 180

  • Diagrama FasorialCos ct

    +Sen ct-Sen ct

    -Cos ct

    1.41Sen (ct+22.5)

    1.41Sen (ct+67.5)1.41Sen (ct+112.5)

    1.41Sen (ct+157.5)

    1.41Sen (ct-22.5)

    1.41Sen (ct-67.5)1.41Sen (ct-112.5)

    1.41Sen (ct-157.5)

  • Diagrama de ConstelacionesCos ct

    +Sen ct-Sen ct

    -Cos ct

    111

    110100

    101

    011

    010000

    001

  • Seal 8-PSK

    Condicin

    Las magnitudes que maneja el bit C pueden variar, sin embargo la condicin que deben mantener es una relacin de 0.541/1.307 y el producto del arco tangente un ngulo aproximado de 22.5.

    Slide 1IntroduccinModulador 8-PSKTabla de VerdadFases de 8-PSKFases de 8-PSKQ=0, I=0 y C=1Q=0, I=1 y C=0Q=0, I=1 y C=1Q=1, I=0 y C=0Q=1, I=0 y C=1Q=1, I=1 y C=0Q=1, I=1 y C=1Diagrama FasorialDiagrama de ConstelacionesSeal 8-PSK